All public logs
Jump to navigation
Jump to search
Combined display of all available logs of F-Si wiki. You can narrow down the view by selecting a log type, the username (case-sensitive), or the affected page (also case-sensitive).
(newest | oldest) View (newer 500 | older 500) (20 | 50 | 100 | 250 | 500)- 00:08, 10 July 2023 Sylvestre talk contribs created page File:File:Fsic-sylvestre-mixing.pdf (Created page with "==Downloads== * Slides (to upload a file: go to Edit mode, then click on the fourth icon from the left "Images and media" and follow the ins...")
- 00:06, 10 July 2023 Sylvestre talk contribs created page File:Fsic-sylvestre-mixing.pdf
- 00:06, 10 July 2023 Sylvestre talk contribs uploaded File:Fsic-sylvestre-mixing.pdf
- 22:31, 9 July 2023 Xtof talk contribs created page Naja: project updates and netlist splitting tool (Created page with "* Speaker: Christophe Alexandre * email: christophe.alex@gmail.com * LinkedIn: https://www.linkedin.com/in/christophe-alexandre-634bb36/ ==Downloads== * :File:Naja Christop...")
- 22:30, 9 July 2023 Sergei.Andreev talk contribs created page File:HEP-Chip.pdf
- 22:30, 9 July 2023 Sergei.Andreev talk contribs uploaded File:HEP-Chip.pdf
- 22:22, 9 July 2023 Sergei.Andreev talk contribs created page File:OpenPDK status FSiC2023.pdf
- 22:22, 9 July 2023 Sergei.Andreev talk contribs uploaded File:OpenPDK status FSiC2023.pdf
- 22:19, 9 July 2023 Sylvestre talk contribs created page File:FSiC-sylvestre.pdf
- 22:19, 9 July 2023 Sylvestre talk contribs uploaded File:FSiC-sylvestre.pdf
- 18:45, 9 July 2023 Schoeberl talk contribs created page File:Chisel-30min.pdf
- 18:45, 9 July 2023 Schoeberl talk contribs uploaded File:Chisel-30min.pdf
- 16:29, 9 July 2023 Osama talk contribs created page OpenROAD (Created page with "* Speaker(s): Osama Hammad * email: osama21@aucegypt.edu ==Downloads== [https://docs.google.com/presentation/d/14WM-MlBMmVueEMES5AUU2XJa-jMmH3R4/edit?usp=sharing&ouid=10344162...")
- 11:56, 9 July 2023 Nak talk contribs created page File:New Open Source Design Verification Tools from YosysHQ - FSiC 2023.pdf
- 11:56, 9 July 2023 Nak talk contribs uploaded File:New Open Source Design Verification Tools from YosysHQ - FSiC 2023.pdf
- 11:30, 9 July 2023 Admin talk contribs created page File:2023 FSiC Pretl v1.0 handout.pdf
- 11:30, 9 July 2023 Admin talk contribs uploaded File:2023 FSiC Pretl v1.0 handout.pdf
- 10:21, 9 July 2023 Tgingold talk contribs created page File:Ghdl-multi-lang-slides.pdf
- 10:21, 9 July 2023 Tgingold talk contribs uploaded File:Ghdl-multi-lang-slides.pdf
- 07:59, 9 July 2023 Psychogenic talk contribs created page Black-tie Python: Formal verification with Amaranth (Implementing and verifying digital logic hardware with Python and Amaranth)
- 07:54, 9 July 2023 Psychogenic talk contribs created page File:Black-tie-python.jpg
- 07:54, 9 July 2023 Psychogenic talk contribs uploaded File:Black-tie-python.jpg
- 07:41, 9 July 2023 User account Psychogenic talk contribs was created
- 15:36, 8 July 2023 Admin talk contribs created page File:FSIC2023-KEYNOTE-MNT.pdf
- 15:36, 8 July 2023 Admin talk contribs uploaded File:FSIC2023-KEYNOTE-MNT.pdf
- 14:51, 8 July 2023 Admin talk contribs created page File:FSiC2023 Open Source Chip Design.pdf
- 14:51, 8 July 2023 Admin talk contribs uploaded File:FSiC2023 Open Source Chip Design.pdf
- 14:13, 8 July 2023 Admin talk contribs created page TestPageX (Created page with " * Speaker(s): Xxx Yyy * email: xx@yy.zz (voluntary information -it will help others to contact you in case of need) * other information: xxx ==Downloads== * :File:File_nam...")
- 13:57, 8 July 2023 Minute talk contribs created page The road to fully open hardware mobile computing (Populate my keynote)
- 13:48, 8 July 2023 User account Minute talk contribs was created
- 12:45, 8 July 2023 User account Osama talk contribs was created
- 11:46, 8 July 2023 Fatsie talk contribs created page Proof-of-concept for scalable analog blocks using the PDKMaster framework (Created page with "* Speaker(s): Staf Verhaegen * email: [mailto:staf@fibraservi.eu staf@fibraservi.eu], [mailto:staf.verhaegen@chipflow.io staf.verhaegen@chipflow.io] * Gitlab: [https://gitlab....")
- 11:18, 8 July 2023 Tgingold talk contribs created page Toward multi-language open-source HDL simulation (Initial commit)
- 01:09, 8 July 2023 Qoom talk contribs created page All you ever wanted to know about side-channel attacks and protections (and a forthcoming book) (Created page with "==Speaker Info== * Speaker: Wei Cheng, Sylvain Guilley, and Olivier Rioul * Email: wei.cheng@telecom-paris.fr, sylvain.guilley@secure-ic.com, olivier.rioul@telecom-paris.fr =...")
- 00:48, 8 July 2023 User account Qoom talk contribs was created
- 23:52, 7 July 2023 Sylvestre talk contribs created page Mixing software abstractions for high-level FPGA programming (Created page with "* Speaker(s): Loïc Sylvestre * email: loic.sylvestre#lip6.fr ==Downloads== * Slides (to upload a file: go to Edit mode, then click on the fourth icon...")
- 23:50, 7 July 2023 Sylvestre talk contribs created page User talk:Sylvestre (Created page with "* Speaker(s): Loïc Sylvestre * email: loic.sylvestre#lip6.fr ==Downloads== * Slides (to upload a file: go to Edit mode, then click on the fourth icon...")
- 23:38, 7 July 2023 User account Sylvestre talk contribs was created
- 23:35, 7 July 2023 User account Dbol talk contribs was created
- 21:24, 7 July 2023 Andrea talk contribs created page How to foster GreenIT through open hardware? (Created page with "* Speaker(s): Andrea Quilici * email: andrea.quilici@resilio.tech * other information: https://www.linkedin.com/in/andrea-vittorio-quilici/ ==Downloads== * :File:File_name....")
- 21:20, 7 July 2023 User account Andrea talk contribs was created
- 16:25, 7 July 2023 Tbenz talk contribs created page Industry-Grade SystemVerilog IPs And The Open Flow: How We Synthesized Iguana (Created page with "* Speaker(s): Xxx Yyy * email: xx@yy.zz (voluntary information -it will help others to contact you in case of need) * other information: xxx ==Downloads== * :File:File_name...")
- 16:22, 7 July 2023 Jpc talk contribs created page Coriolis a RTL to GDSII FOSS Design Flow (Created page with "* Speaker: Jean-Paul Chaput * email: Jean-Paul.Chaput@lip6.fr ==Abstract== This talk will focus on the interaction of the standard cell libraries and the Coriolis design flo...")
- 16:19, 7 July 2023 User account Tbenz talk contribs was created
- 15:10, 7 July 2023 PabloNT00 talk contribs created page File:FSiC 2023 Pablo Navarro.pdf (Pablo Navarro presentation slides for FSiC 2023)
- 15:10, 7 July 2023 PabloNT00 talk contribs uploaded File:FSiC 2023 Pablo Navarro.pdf (Pablo Navarro presentation slides for FSiC 2023)
- 15:07, 7 July 2023 PabloNT00 talk contribs created page Exploring open hardware solutions for ensuring the security of RISC-V processors (Created page with "* Speaker: Pablo Navarro Torrero * email: navarro@imse-cnm.csic.es ==Downloads== * Slides (to upload a file: go to Edit mode, then click on the fourth...")
- 14:57, 7 July 2023 User account PabloNT00 talk contribs was created
- 10:47, 7 July 2023 Yrrapt talk contribs created page Powered by Open EDA: Applying Apple's Chip-to-Product Design Methods to Satellites (Created page with "iPhones have revolutionized the way we use technology, thanks to their highly integrated and SWaP optimized systems. But what is the secret behind their success? At the core o...")
- 10:45, 7 July 2023 User account Yrrapt talk contribs was created
- 10:06, 7 July 2023 Jbruant talk contribs created page Open-source electronic design automation for agile network defense at OVHcloud (Created page with " * Speaker(s): Jean Bruant * email: jean [dot] bruant (at) ovhcloud [dot] com ==Downloads== <!-- * Slides (to upload a file: go to Edit mode, then cli...")
- 23:11, 6 July 2023 Matthias talk contribs created page Learning from GF180 PDK: Best practices for implementing and optimizing KLayout DRC and LVS decks (Created page with " * Speaker: Matthias Köfferlein * email: matthias@klayout.org <!-- ==Downloads== * Slides (to upload a file: go to Edit mode, then click on the fourt...")
- 21:42, 6 July 2023 HPretl talk contribs created page Design of a 1.2MS/s Charge-Redistribution Non-Binary SAR-ADC Utilizing the SKY130 Open-Source Technology (Created page with "* Speaker(s): Harald Pretl * email: harald.pretl@jku.at * other information: Institute for Integrated Circuits (IIC), Johannes Kepler University, Linz, Austria ==Downloads==...")
- 21:28, 6 July 2023 User account HPretl talk contribs was created
- 20:36, 6 July 2023 Schoeberl talk contribs created page Teaching Chip Design with Open-Source Tools (Created page with "* Speaker(s): Martin Schoeberl * email: masca@dtu.dk * other information: xxx ==Downloads== * Slides (to upload a file: go to Edit mode, then click on...")
- 20:35, 6 July 2023 Schoeberl talk contribs created page Software-Defined Hardware: Digital Design in the 21st Century with Chisel (Created page with "* Speaker(s): Martin Schoeberl * email: masca@dtu.dk * other information: xxx ==Downloads== * Slides (to upload a file: go to Edit mode, then click on...")
- 20:31, 6 July 2023 User account Schoeberl talk contribs was created
- 20:02, 6 July 2023 Admin talk contribs created page Free Silicon Foundation (Created page with "= Free Silicon, free as in freedom = The Free Silicon Foundation (F-Si) is a nonprofit organization with the scope of promoting: # Free and Open Source (FOS) CAD tools for de...")
- 17:08, 6 July 2023 Mattvenn talk contribs created page TinyTapeout - what happened and next steps (Created page with "== Matt Venn == Matthew Venn is a science & technology communicator and electronic engineer. He has been involved with open source silicon for the last 3 years and has sent 2...")
- 15:08, 6 July 2023 Alnurn talk contribs created page A Yosys plugin for logic locking (Created page with "When creating an electronic circuit, manufacturing steps are usually performed by third-party actors. In order to build confidence that the circuit built is not tempered with...")
- 16:10, 5 July 2023 LucaWeiss talk contribs created page Open Source for Sustainable and Long lasting Phones (Created page with "* Speaker: Luca Weiss * Email: luca.weiss@fairphone.com * Mastodon: https://fosstodon.org/@z3ntu ==Downloads== * Slides (to upload a file: go to Edit...")
- 16:02, 5 July 2023 User account LucaWeiss talk contribs was created
- 13:56, 5 July 2023 Nak talk contribs created page Recent Developments from YosysHQ (create page)
- 10:10, 5 July 2023 User account Nak talk contribs was created
- 10:45, 3 July 2023 Sergei.Andreev talk contribs created page Open source Design Flow status and roadmap for IHP BiCMOS technology (Created page with "* Speaker(s): Sergei Andreev, Rene Scholz * email: andreev@ihp-microelectronics.com * other information: xxx ==Downloads== * Slides (to upload a file:...")
- 10:20, 3 July 2023 User account Sergei.Andreev talk contribs was created
- 15:11, 2 July 2023 Mariem talk contribs created page File:FSIC-SU-lunches-2023.png (date updated)
- 15:11, 2 July 2023 Mariem talk contribs uploaded File:FSIC-SU-lunches-2023.png (date updated)
- 12:14, 30 June 2023 PavelSmirnov talk contribs created page KQCircuits – open-source EDA software for designing chips with super conducting qubits (Created page with "* Speaker(s): Pavel Smirnov * email: pavel.smirnov@meetiqm.com ==Downloads== * thumb|alt=Slides for KQC presentation|Slides for KQC presentation ==Ab...")
- 11:48, 30 June 2023 PavelSmirnov talk contribs created page File:Kqc-fsic23.pdf
- 11:48, 30 June 2023 PavelSmirnov talk contribs uploaded File:Kqc-fsic23.pdf
- 11:38, 30 June 2023 User account PavelSmirnov talk contribs was created
- 14:28, 29 June 2023 Cst1 talk contribs created page Learning hardware design in the video game Minecraft (Created page with "* Speaker(s): Gabriel Doriath Döhler, Constantin Gierczak--Galle * email: gabriel.doriath.dohler@ens.fr, constantin.gierczak-galle@ens.fr * other information: ==Downloads==...")
- 14:25, 29 June 2023 User account Cst1 talk contribs was created
- 19:51, 28 June 2023 Dan fritchman talk contribs created page Open (and Closed) Source Analog Design with Hdl21 & VLSIR (Created page with " * Speaker(s): Dan Fritchman * email: dan_fritchman@berkeley.edu ==Downloads== * Coming soon! ==Abstract== Coming soon! ==Software== * Hdl21 - Analog HDL in Python - https:...")
- 19:45, 28 June 2023 User account Dan fritchman talk contribs was created
- 11:54, 28 June 2023 Bbarzen talk contribs created page File:Narrowing the synthesis gap- Academic FPGA synthesis is catching up with the industry.pdf
- 11:54, 28 June 2023 Bbarzen talk contribs uploaded File:Narrowing the synthesis gap- Academic FPGA synthesis is catching up with the industry.pdf
- 11:39, 28 June 2023 Bbarzen talk contribs created page Closing The Synthesis Gap — Is Open Source Logic Synthesis About To Beat The Industry? (Add template)
- 11:38, 28 June 2023 User account Bbarzen talk contribs was created
- 10:19, 26 June 2023 Mariem talk contribs created page File:FSIC-SU-lunches.png (Modified map of the campus with coffee breaks and lunches)
- 10:19, 26 June 2023 Mariem talk contribs uploaded File:FSIC-SU-lunches.png (Modified map of the campus with coffee breaks and lunches)
- 10:41, 23 June 2023 Mariem talk contribs created page File:FSIC-SU-2023.png (FSIC 2023 map at Sorbonne Université)
- 10:41, 23 June 2023 Mariem talk contribs uploaded File:FSIC-SU-2023.png (FSIC 2023 map at Sorbonne Université)
- 10:39, 23 June 2023 Mariem talk contribs created page File:FSIC-SU.2023.png (Update map of SU 2023)
- 10:39, 23 June 2023 Mariem talk contribs uploaded File:FSIC-SU.2023.png (Update map of SU 2023)
- 10:31, 23 June 2023 Mariem talk contribs uploaded a new version of File:FSIC-SU.png
- 18:54, 12 June 2023 Charles.papon talk contribs created page A progressive introduction to memory bus interconnect API in Software-Defined Hardware (Created page with "* Speaker(s): Charles Papon * email: charles.papon.90@gmail.com * other information: Dolu1990 (Github) ==Downloads== * [https://github.com/SpinalHDL/SpinalDoc/blob/master/pre...")
- 17:04, 9 June 2023 Admin talk contribs created page FSiC2023 venue (Created page with "===Address=== The conference will take place at: <pre> Sorbonne Université - LIP6 laboratory Campus Pierre et Marie Curie Amphithéâtre 43 4 Place Jussieu 75005 Paris, Fran...")
- 12:08, 31 May 2023 Felix talk contribs created page Verilog-AMS in Gnucap (initial stub)
- 08:14, 1 March 2023 User account Nejc talk contribs was created
- 18:58, 16 February 2023 Admin talk contribs created page File:GoIT.png
- 18:58, 16 February 2023 Admin talk contribs uploaded File:GoIT.png
- 18:58, 16 February 2023 Admin talk contribs created page File:EU-co-funded.jpg
- 18:58, 16 February 2023 Admin talk contribs uploaded File:EU-co-funded.jpg
- 18:57, 16 February 2023 Admin talk contribs created page File:Fsic2023 logo.png
- 18:57, 16 February 2023 Admin talk contribs uploaded File:Fsic2023 logo.png
- 18:56, 16 February 2023 Admin talk contribs created page FSiC2023 (Page creation)
- 13:32, 10 September 2022 User account MilkyWay talk contribs was created
- 11:51, 3 September 2022 EMUNES talk contribs created page Wiki/openic (Created page with "# OpenIC")
- 11:45, 3 September 2022 User account EMUNES talk contribs was created
- 22:03, 17 August 2022 Admin talk contribs imported Template:Nobold by file upload (1 revision)
- 22:03, 17 August 2022 Admin talk contribs imported Template:Navbox by file upload (1 revision)
- 22:03, 17 August 2022 Admin talk contribs imported Template:Microformat message by file upload (1 revision)
- 22:03, 17 August 2022 Admin talk contribs imported Template:Main other by file upload (1 revision)
- 22:03, 17 August 2022 Admin talk contribs imported Template:Longitem by file upload (1 revision)
- 22:03, 17 August 2022 Admin talk contribs imported Template:Infobox organization/doc by file upload (1 revision)
- 22:03, 17 August 2022 Admin talk contribs imported Template:If empty by file upload (1 revision)
- 22:03, 17 August 2022 Admin talk contribs imported Template:Documentation by file upload (1 revision)
- 22:03, 17 August 2022 Admin talk contribs imported Template:Comma separated entries by file upload (1 revision)
- 22:03, 17 August 2022 Admin talk contribs imported Template:Clear by file upload (1 revision)
- 22:03, 17 August 2022 Admin talk contribs imported Template:Category link with count by file upload (1 revision)
- 22:03, 17 August 2022 Admin talk contribs imported Template:Break by file upload (1 revision)
- 22:03, 17 August 2022 Admin talk contribs imported Template:Allow wrap by file upload (1 revision)
- 22:03, 17 August 2022 Admin talk contribs imported Template:Infobox organization by file upload (1 revision)
- 22:59, 1 August 2022 Admin talk contribs created page File:Fsic gqmerge.pdf
- 22:59, 1 August 2022 Admin talk contribs uploaded File:Fsic gqmerge.pdf
- 22:56, 1 August 2022 Admin talk contribs created page File:OpenEPDA.pdf
- 22:56, 1 August 2022 Admin talk contribs uploaded File:OpenEPDA.pdf
- 22:55, 1 August 2022 Admin talk contribs created page OpenEPDA: photonic PDKs with open standards (Created page with "* Speaker(s): Dzmitry Pustakhod * email: d.pustakhod@tue.nl ==Downloads== * Slides * [https://peertube.f-si.org/videos/watch/7024ad28-e464-4dbf-9cf1-83...")
- 22:50, 1 August 2022 Admin talk contribs created page Gdsfactory (Created page with "==Downloads== * Slides * [https://peertube.f-si.org/videos/watch/45a00977-5b96-41f4-b23b-0e74295e01be Video recording] ==Software== * Repository: https://gd...")
- 16:16, 12 July 2022 Vater talk contribs created page OpenSource PDK - A key enabler to unlock the potential of an open source design flow (Created page with "* Speaker(s): Frank Vater ==Abstract== IHP offers 0.13µm BiCMOS technology with very fast bipolar transistors (upto fT/fMax = 350GHz/450GHz) and MOS transistors for low and...")
- 11:53, 12 July 2022 User account Vater talk contribs was created
- 10:17, 12 July 2022 Stefan talk contribs created page XSCHEM - circuit schematic editor for VLSI, ASIC, PCB design (Xschem schematic editor for VLSI analog / mixed mode design)
- 11:13, 11 July 2022 Xtof talk contribs created page File:Naja ChristopheAlexandre.pdf
- 11:13, 11 July 2022 Xtof talk contribs uploaded File:Naja ChristopheAlexandre.pdf
- 08:53, 11 July 2022 User account Leenaars talk contribs was created
- 08:12, 11 July 2022 Philipp talk contribs uploaded a new version of File:F8.pdf
- 23:04, 9 July 2022 Torki talk contribs created page File:65nm CMOS Design-Flows on Free and Open-Sources Tools.pdf
- 23:04, 9 July 2022 Torki talk contribs uploaded File:65nm CMOS Design-Flows on Free and Open-Sources Tools.pdf
- 19:02, 9 July 2022 Matthias talk contribs created page File:Tutorial-presentation.pdf
- 19:02, 9 July 2022 Matthias talk contribs uploaded File:Tutorial-presentation.pdf
- 10:03, 9 July 2022 Alnurn talk contribs uploaded a new version of File:Coloquinte.pdf
- 09:42, 9 July 2022 Alnurn talk contribs created page File:Coloquinte.pdf
- 09:42, 9 July 2022 Alnurn talk contribs uploaded File:Coloquinte.pdf
- 07:19, 9 July 2022 Matthias talk contribs created page File:Xsection-presentation.pdf
- 07:19, 9 July 2022 Matthias talk contribs uploaded File:Xsection-presentation.pdf
- 00:43, 9 July 2022 Tok talk contribs created page File:2022 07 libreda fsic2022.pdf
- 00:43, 9 July 2022 Tok talk contribs uploaded File:2022 07 libreda fsic2022.pdf
- 09:07, 8 July 2022 Mariem talk contribs created page File:Fsic2022scr.pdf (Naohiko Shimizu's presnetation at FSIC2022)
- 09:07, 8 July 2022 Mariem talk contribs uploaded File:Fsic2022scr.pdf (Naohiko Shimizu's presnetation at FSIC2022)
- 09:04, 8 July 2022 Mariem talk contribs created page Challenge to Fabricate LSI without NDA with Open Method (Created page with "==Template== ''Copy-paste the source-code of the text below (the source code is visible after login and after clicking "Edit").'' * Speaker(s): Xxx Yyy * email: xx@yy.zz (v...")
- 09:04, 8 July 2022 Mariem talk contribs created page Digital placement algorithms in Coriolis (Created page with "==Template== ''Copy-paste the source-code of the text below (the source code is visible after login and after clicking "Edit").'' * Speaker(s): Xxx Yyy * email: xx@yy.zz (v...")
- 23:25, 6 July 2022 Tgingold talk contribs created page Synthesis with ghdl (Create page)
- 23:20, 6 July 2022 Tgingold talk contribs created page File:Ghdl-FSiC2022.pdf
- 23:20, 6 July 2022 Tgingold talk contribs uploaded File:Ghdl-FSiC2022.pdf
- 23:08, 6 July 2022 Tgingold talk contribs created page Wishbone: a free SoC bus family (Create page)
- 22:57, 6 July 2022 Tgingold talk contribs created page File:Wishbone-fsic2022.pdf
- 22:57, 6 July 2022 Tgingold talk contribs uploaded File:Wishbone-fsic2022.pdf
- 19:35, 6 July 2022 Fatsie talk contribs created page PDKMaster & co.: a framework for scalable and technology portable standard cell, IO and SRAM libraries (Created page with "* Speaker(s): Staf Verhaegen * email: [mailto:staf@fibraservi.eu staf@fibraservi.eu], [mailto:staf.verhaegen@chipflow.io staf.verhaegen@chipflow.io] ==Downloads== * :File:F...")
- 18:52, 6 July 2022 Xtof talk contribs created page Naja: an open source framework for EDA post synthesis flow development (Created page with "* Speaker: Christophe Alexandre * email: christophe.alex@gmail.com * LinkedIn: https://www.linkedin.com/in/christophe-alexandre-634bb36/ ==Downloads== Slides will be updated...")
- 18:04, 6 July 2022 User account Xtof talk contribs was created
- 12:22, 6 July 2022 Christoph.grimm talk contribs uploaded a new version of File:SysMD-FSi22.pdf (included pictures with checked copyrights)
- 09:01, 6 July 2022 Felix talk contribs created page Merging Gnucap and Qucs -- The Why and How (add abstract)
- 18:29, 5 July 2022 Wiede talk contribs created page Go2async: A high-level synthesis tool for asynchronous circuits (Created page with "* Speaker: Sebastian Wiedemannn * email: sebastian.wiede5335'at'gmail.com ==Downloads== Will be made available after talk. ==Abstract== High-level synthesis tools generally...")
- 14:46, 5 July 2022 Christoph.grimm talk contribs created page File:SysMD-FSi22.pdf
- 14:46, 5 July 2022 Christoph.grimm talk contribs uploaded File:SysMD-FSi22.pdf
- 14:21, 5 July 2022 Philipp talk contribs created page File:F8.pdf
- 14:21, 5 July 2022 Philipp talk contribs uploaded File:F8.pdf
- 11:59, 5 July 2022 Torki talk contribs created page 65nm CMOS Design-Flows on Free and Open-Source Tools : An Overview (Created page with "* Speaker(s): Kholdoun TORKI * email: Kholdoun.Torki@mycmp.fr")
- 09:43, 5 July 2022 User account Jmatres talk contribs was created
- 06:16, 5 July 2022 Chipforge talk contribs created page Standard Cell Library report (Created page with " * Speaker(s): Hagen Sankowski * email: hsank@nospam.chipforge.org * core Member of LibreSilicon.org")
- 06:12, 5 July 2022 User account Chipforge talk contribs was created
- 16:03, 4 July 2022 Mariem talk contribs created page File:Su-area.png (Around Campus)
- 16:03, 4 July 2022 Mariem talk contribs uploaded File:Su-area.png (Around Campus)
- 15:58, 4 July 2022 Mariem talk contribs created page File:FSIC-SU.png (campus Pierre et Marie Curie)
- 15:58, 4 July 2022 Mariem talk contribs uploaded File:FSIC-SU.png (campus Pierre et Marie Curie)
- 15:54, 4 July 2022 Mariem talk contribs created page File:FSIC-SU.svg
- 15:54, 4 July 2022 Mariem talk contribs uploaded File:FSIC-SU.svg
- 14:15, 4 July 2022 Mattvenn talk contribs created page How many designs can you fit on a single die (Created page with "== Matt Venn == Matt Venn is a science & technology communicator and electronic engineer. He brings 20 years of experience to create excellent and innovative learning experie...")
- 14:11, 4 July 2022 User account Mattvenn talk contribs was created
- 06:40, 4 July 2022 Proppy talk contribs created page Porting software to hardware using XLS and open source PDKs (Created page with "* Speaker(s): Johan Euphrosine * email: proppy@google.com * other information: https://twitter.com/proppy https://github.com ==Abstract== DSLX is a dataflow-oriented functio...")
- 06:30, 4 July 2022 User account Proppy talk contribs was created
- 17:34, 2 July 2022 Matthias talk contribs created page Tutorial and FAQ on physical verification, DRC+LVS (Created page with "* Speaker(s): Matthias Köfferlein * email: matthias@klayout.de <!-- ==Downloads== * Slides (to upload a file: go to Edit mode, then click on the four...")
- 17:24, 2 July 2022 Matthias talk contribs created page KLayout XSection tool - Deep insights or nonsense in colors? (Created page with " Speaker(s): Matthias Köfferlein * email: matthias@klayout.de <!-- ==Downloads== * Slides (to upload a file: go to Edit mode, then click on the fourt...")
- 23:40, 1 July 2022 TimEdwards talk contribs created page File:Edwards fsic2022 slides.pdf (Slides for presentation "Whom do you trust? Validating process parameters for open-source tools")
- 23:40, 1 July 2022 TimEdwards talk contribs uploaded File:Edwards fsic2022 slides.pdf (Slides for presentation "Whom do you trust? Validating process parameters for open-source tools")
- 18:23, 1 July 2022 User account Alnurn talk contribs was created
- 16:59, 1 July 2022 Wiede talk contribs created page User talk:Wiede (Created page with "* Speaker: Sebastian Wiedemannn * email: sebastian.wiede5335'at'gmail.com ==Downloads== Will be made available after talk. ==Abstract== High-level synthesis tools generally...")
- 17:30, 30 June 2022 User account Tomasaidukas talk contribs was created
- 17:25, 30 June 2022 Philipp talk contribs created page F8 (New page for f8 talk)
- 17:10, 30 June 2022 User account Philipp talk contribs was created
- 15:08, 30 June 2022 TimEdwards talk contribs created page Whom do you trust?: Validating process parameters for open-source tools (Pasted default template (to be completed))
- 14:56, 30 June 2022 User account TimEdwards talk contribs was created
- 11:51, 30 June 2022 Charles.papon talk contribs created page Composing an out-of-order CPU using software technics (Created page with "* Speaker(s): Charles Papon * email: charles.papon.90@gmail.com * other information: Dolu1990 (Github) ==Downloads== * Slides * [https:peertube.f-si....")
- 11:45, 30 June 2022 Christoph.grimm talk contribs created page Inclusive Modeling with SysMD (Created page with "==Inclusive Modeling with SysMD== * Speaker(s): Christoph Grimm * email: grimm@cs.uni-kl.de ==Downloads== * Slides (to upload a file: go to Edit mo...")
- 16:51, 20 June 2022 User account Wiede talk contribs was created
- 13:15, 17 June 2022 Tok talk contribs created page LibrEDA - digital place-and-route framework from scratch (Create page)
- 17:38, 9 June 2022 Admin talk contribs created page File:Irill.png
- 17:38, 9 June 2022 Admin talk contribs uploaded File:Irill.png
- 14:52, 9 June 2022 Stefan talk contribs created page User talk:Stefan (Created page with "* Speaker: Stefan Schippers * email: stefan.schippers@gmail.com * Xschem [https://xschem.sourceforge.io/stefan/xschem_man/xschem_man.html documentation] * Xschem [https://xsch...")
- 14:37, 9 June 2022 User account Stefan talk contribs was created
- 11:51, 9 June 2022 Admin talk contribs uploaded a new version of File:Fsic2022 mml.png
- 11:50, 9 June 2022 Admin talk contribs uploaded a new version of File:Fsic2022 la.png
- 19:20, 6 June 2022 Matthias talk contribs created page File:Fsic2022 mml.png
- 19:20, 6 June 2022 Matthias talk contribs uploaded File:Fsic2022 mml.png
- 19:19, 6 June 2022 Matthias talk contribs created page File:Fsic2022 la.png
- 19:19, 6 June 2022 Matthias talk contribs uploaded File:Fsic2022 la.png
- 19:19, 6 June 2022 Matthias talk contribs created page File:Fsic2022 tk.png
- 19:19, 6 June 2022 Matthias talk contribs uploaded File:Fsic2022 tk.png
- 19:19, 6 June 2022 Matthias talk contribs created page File:Fsic2022 mk.png
- 19:19, 6 June 2022 Matthias talk contribs uploaded File:Fsic2022 mk.png
- 11:35, 27 May 2022 Admin talk contribs created page FSiC2022 venue (Created page with "===Address=== The conference will take place at: <pre> Sorbonne Université - LIP6 laboratory Campus Pierre et Marie Curie Amphithéâtre 25 4 Place Jussieu 75005 Paris, Fran...")
- 16:53, 16 May 2022 User account Raghav89 talk contribs was created
- 16:19, 10 March 2022 Admin talk contribs imported Template:Imbox/doc by file upload (1 revision)
- 16:17, 10 March 2022 Admin talk contribs imported Template:Used in system by file upload (1 revision)
- 16:17, 10 March 2022 Admin talk contribs imported Template:Module rating by file upload (1 revision)
- 16:17, 10 March 2022 Admin talk contribs imported Template:Module other by file upload (1 revision)
- 16:16, 10 March 2022 Admin talk contribs imported Template:Official URL by file upload (1 revision)
- 16:16, 10 March 2022 Admin talk contribs imported Template:Start date by file upload (1 revision)
- 16:16, 10 March 2022 Admin talk contribs imported Template:Infobox recurring event by file upload (1 revision)
- 16:16, 10 March 2022 Admin talk contribs imported Module:Navbox by file upload (1 revision)
- 16:16, 10 March 2022 Admin talk contribs imported Module:Official website by file upload (1 revision)
- 16:16, 10 March 2022 Admin talk contribs imported Module:Side box by file upload (1 revision)
- 16:16, 10 March 2022 Admin talk contribs imported Module:Portal by file upload (1 revision)
- 16:16, 10 March 2022 Admin talk contribs imported Module:Delink by file upload (1 revision)
- 16:16, 10 March 2022 Admin talk contribs imported Module:EditAtWikidata by file upload (1 revision)
- 16:16, 10 March 2022 Admin talk contribs imported Module:URL by file upload (1 revision)
- 16:16, 10 March 2022 Admin talk contribs imported Module:InfoboxImage by file upload (1 revision)
- 16:15, 10 March 2022 Admin talk contribs imported Module:Yesno by file upload (1 revision)
- 16:15, 10 March 2022 Admin talk contribs imported Module:No globals by file upload (1 revision)
- 16:15, 10 March 2022 Admin talk contribs imported Module:Message box/configuration by file upload (1 revision)
- 16:15, 10 March 2022 Admin talk contribs imported Module:Message box by file upload (1 revision)
- 16:08, 10 March 2022 Admin talk contribs imported Module:Documentation/config by file upload (1 revision)
- 16:08, 10 March 2022 Admin talk contribs imported Module:Documentation by file upload (1 revision)
- 16:07, 10 March 2022 Admin talk contribs imported Module:Category handler/shared by file upload (1 revision)
- 16:07, 10 March 2022 Admin talk contribs imported Module:Category handler/data by file upload (1 revision)
- 16:07, 10 March 2022 Admin talk contribs imported Module:Category handler/config by file upload (1 revision)
- 16:07, 10 March 2022 Admin talk contribs imported Module:Category handler/blacklist by file upload (1 revision)
- 16:07, 10 March 2022 Admin talk contribs imported Module:Category handler by file upload (1 revision)
- 16:07, 10 March 2022 Admin talk contribs imported Module:Arguments by file upload (1 revision)
- 16:07, 10 March 2022 Admin talk contribs imported Module:Anchor by file upload (1 revision)
- 16:07, 10 March 2022 Admin talk contribs imported Template:Tlg by file upload (1 revision)
- 16:07, 10 March 2022 Admin talk contribs imported Template:Tl by file upload (1 revision)
- 16:07, 10 March 2022 Admin talk contribs imported Template:Sandbox other by file upload (1 revision)
- 16:07, 10 March 2022 Admin talk contribs imported Template:Para by file upload (1 revision)
- 16:07, 10 March 2022 Admin talk contribs imported Template:Lua by file upload (1 revision)
- 16:07, 10 March 2022 Admin talk contribs imported Template:High-use by file upload (1 revision)
- 16:07, 10 March 2022 Admin talk contribs imported Template:High-risk by file upload (1 revision)
- 16:07, 10 March 2022 Admin talk contribs imported Template:Documentation subpage by file upload (1 revision)
- 16:07, 10 March 2022 Admin talk contribs imported Template:Documentation by file upload (1 revision)
- 16:07, 10 March 2022 Admin talk contribs imported Template:Anchor by file upload (1 revision)
- 16:07, 10 March 2022 Admin talk contribs imported Template:Ombox by file upload (1 revision)
- 16:07, 10 March 2022 Admin talk contribs imported Module:Documentation/config by file upload (1 revision)
- 16:07, 10 March 2022 Admin talk contribs imported Module:Documentation by file upload (1 revision)
- 16:07, 10 March 2022 Admin talk contribs imported Module:Category handler/shared by file upload (1 revision)
- 16:07, 10 March 2022 Admin talk contribs imported Module:Category handler/data by file upload (1 revision)
- 16:07, 10 March 2022 Admin talk contribs imported Module:Category handler/config by file upload (1 revision)
- 16:07, 10 March 2022 Admin talk contribs imported Module:Category handler/blacklist by file upload (1 revision)
- 16:07, 10 March 2022 Admin talk contribs imported Module:Category handler by file upload (1 revision)
- 16:07, 10 March 2022 Admin talk contribs imported Module:Arguments by file upload (1 revision)
- 16:07, 10 March 2022 Admin talk contribs imported Module:Anchor by file upload (1 revision)
- 16:07, 10 March 2022 Admin talk contribs imported Template:View by file upload (1 revision)
- 16:07, 10 March 2022 Admin talk contribs imported Template:Tmbox/doc by file upload (1 revision)
- 16:06, 10 March 2022 Admin talk contribs imported Template:Tlg by file upload (1 revision)
- 16:06, 10 March 2022 Admin talk contribs imported Template:Tla by file upload (1 revision)
- 16:06, 10 March 2022 Admin talk contribs imported Template:Tl by file upload (1 revision)
- 16:06, 10 March 2022 Admin talk contribs imported Template:Template link with alternate text by file upload (1 revision)
- 16:06, 10 March 2022 Admin talk contribs imported Template:Template link general by file upload (1 revision)
- 16:06, 10 March 2022 Admin talk contribs imported Template:Template link by file upload (1 revision)
- 16:06, 10 March 2022 Admin talk contribs imported Template:Sandbox other by file upload (1 revision)
- 16:06, 10 March 2022 Admin talk contribs imported Template:Para by file upload (1 revision)
- 16:06, 10 March 2022 Admin talk contribs imported Template:Lua by file upload (1 revision)
- 16:06, 10 March 2022 Admin talk contribs imported Template:High-use by file upload (1 revision)
- 16:06, 10 March 2022 Admin talk contribs imported Template:High-risk by file upload (1 revision)
- 16:06, 10 March 2022 Admin talk contribs imported Template:Documentation subpage by file upload (1 revision)
- 16:06, 10 March 2022 Admin talk contribs imported Template:Documentation by file upload (1 revision)
- 16:06, 10 March 2022 Admin talk contribs imported Template:Anchor by file upload (1 revision)
- 16:06, 10 March 2022 Admin talk contribs imported Template:Dmbox by file upload (1 revision)
- 16:06, 10 March 2022 Admin talk contribs imported Template:Ombox by file upload (1 revision)
- 16:06, 10 March 2022 Admin talk contribs imported Template:Mbox templates see also by file upload (1 revision)
- 16:06, 10 March 2022 Admin talk contribs imported Template:Mbox templates (small) by file upload (1 revision)
- 16:06, 10 March 2022 Admin talk contribs imported Template:Asbox by file upload (1 revision)
- 16:06, 10 March 2022 Admin talk contribs imported Template:Fmbox by file upload (1 revision)
- 16:06, 10 March 2022 Admin talk contribs imported Template:Umbox by file upload (1 revision)
- 16:06, 10 March 2022 Admin talk contribs imported Template:Ivory messagebox by file upload (1 revision)
- 16:06, 10 March 2022 Admin talk contribs imported Template:Imbox by file upload (1 revision)
- 16:06, 10 March 2022 Admin talk contribs imported Template:Cmbox by file upload (1 revision)
- 16:06, 10 March 2022 Admin talk contribs imported Template:Ambox by file upload (1 revision)
- 16:06, 10 March 2022 Admin talk contribs imported Template:Tmbox by file upload (1 revision)
- 15:58, 10 March 2022 Admin talk contribs imported KiCad by file upload (1 revision)
- 13:51, 8 March 2022 Admin talk contribs created page File:RedCatDevices.jpg
- 13:51, 8 March 2022 Admin talk contribs uploaded File:RedCatDevices.jpg
- 18:52, 7 February 2022 Admin talk contribs created page File:Fsic2022 logo.png
- 18:52, 7 February 2022 Admin talk contribs uploaded File:Fsic2022 logo.png
- 18:52, 7 February 2022 Admin talk contribs deleted page File:Fsic2022 logo.svg
- 18:49, 7 February 2022 Admin talk contribs created page File:Fsic2022 logo.svg
- 18:49, 7 February 2022 Admin talk contribs uploaded File:Fsic2022 logo.svg
- 18:49, 7 February 2022 Admin talk contribs deleted page File:Fsic2022 logo.svg
- 18:47, 7 February 2022 Admin talk contribs created page File:Fsic2022 logo.svg
- 18:47, 7 February 2022 Admin talk contribs uploaded File:Fsic2022 logo.svg
- 18:47, 7 February 2022 Admin talk contribs deleted page File:Fsic2022 logo.svg
- 18:44, 7 February 2022 Admin talk contribs created page File:Fsic2022 logo.svg
- 18:44, 7 February 2022 Admin talk contribs uploaded File:Fsic2022 logo.svg
- 18:37, 7 February 2022 Admin talk contribs deleted page File:Fsic2022 logo.svg
- 18:35, 7 February 2022 Admin talk contribs created page File:Fsic2022 logo.svg
- 18:35, 7 February 2022 Admin talk contribs uploaded File:Fsic2022 logo.svg
- 18:35, 7 February 2022 Admin talk contribs deleted page File:Fsic2022 logo.svg
- 15:50, 7 February 2022 Admin talk contribs created page File:Fsic2022 logo.svg
- 15:50, 7 February 2022 Admin talk contribs uploaded File:Fsic2022 logo.svg
- 15:49, 7 February 2022 Admin talk contribs deleted page File:Fsic2022 logo.svg
- 15:20, 7 February 2022 Admin talk contribs deleted page File:Fsic2022 logo b.svg
- 15:19, 7 February 2022 Admin talk contribs created page File:Fsic2022 logo b.svg
- 15:19, 7 February 2022 Admin talk contribs uploaded File:Fsic2022 logo b.svg
- 12:45, 7 February 2022 Admin talk contribs created page File:Fsic2022 logo.svg
- 12:45, 7 February 2022 Admin talk contribs uploaded File:Fsic2022 logo.svg
- 12:43, 7 February 2022 Admin talk contribs created page FSiC2022 (Created page with "{{Infobox recurring event |name = Free Silicon Conference 2022 |logo = fsic2022_logo.svg |genre = Free software and free hardware develop...")
- 19:40, 16 November 2021 Admin talk contribs created page File:Horizon-CL4-2021-Digital-Emerging-01-05-CSA.pdf
- 19:40, 16 November 2021 Admin talk contribs uploaded File:Horizon-CL4-2021-Digital-Emerging-01-05-CSA.pdf
- 19:38, 16 November 2021 Admin talk contribs deleted page File:Horizon-CL4-2021-digital-emerging-01-05-CSA.pdf
- 19:35, 16 November 2021 Admin talk contribs created page File:Horizon-CL4-2021-digital-emerging-01-05-CSA.pdf
- 19:35, 16 November 2021 Admin talk contribs uploaded File:Horizon-CL4-2021-digital-emerging-01-05-CSA.pdf
- 18:57, 26 October 2021 Admin talk contribs deleted page File:Horizon-cl4-2021-digital-emerging-01-05-CSA.pdf
- 21:39, 24 October 2021 Admin talk contribs created page File:Horizon-cl4-2021-digital-emerging-01-05-CSA.pdf
- 21:39, 24 October 2021 Admin talk contribs uploaded File:Horizon-cl4-2021-digital-emerging-01-05-CSA.pdf
- 22:44, 19 October 2021 Admin talk contribs created page Horizon 2021 Coordination and Support Action (CSA) proposal (Created page with "To be filled.")
- 19:38, 18 April 2021 User account PhilippGuehring talk contribs was created
- 23:51, 12 January 2021 Tok talk contribs uploaded File:LibrEDA logo.svg
- 11:06, 7 April 2020 Admin talk contribs uploaded File:Fsic2021 logo.svg
- 11:44, 9 March 2020 Admin talk contribs uploaded File:Miromico.svg
- 14:09, 19 February 2020 Admin talk contribs uploaded File:SymbioticEDA.png
- 22:22, 14 February 2020 Admin talk contribs moved page Need for a free alternative to Open Access (by Matthias) to Need for a free alternative to OpenAccess (by Matthias)
- 22:16, 14 February 2020 Admin talk contribs moved page Matthias:FreeOpenAccess to Need for a free alternative to Open Access (by Matthias)
- 17:41, 11 February 2020 Admin talk contribs uploaded File:Nitrokey.svg
- 16:49, 3 February 2020 Admin talk contribs protected White paper for the EC, January 2020 [Edit=Allow only administrators] (indefinite) [Move=Allow only administrators] (indefinite) (hist)
- 03:12, 31 January 2020 User account Geekck talk contribs was created
- 15:43, 23 December 2019 Admin talk contribs protected F-Si Donations [Edit=Allow only administrators] (indefinite) [Move=Allow only administrators] (indefinite) (hist)
- 13:19, 15 December 2019 Admin talk contribs deleted page File:Fsic2020 logo.svg (Deleted old revision 20191215111225!Fsic2020_logo.svg)
- 13:12, 15 December 2019 Admin talk contribs uploaded a new version of File:Fsic2020 logo.svg
- 13:05, 15 December 2019 Admin talk contribs uploaded File:Fsic2020 logo.svg
- 17:34, 5 December 2019 Admin talk contribs protected F-Si Statute [Edit=Allow only administrators] (indefinite) [Move=Allow only administrators] (indefinite) (hist)
- 17:34, 16 July 2019 Admin talk contribs uploaded File:Ngspice FSiC2019.pdf
- 17:25, 16 July 2019 Admin talk contribs uploaded File:LiteX FSiC2019.pdf
- 15:11, 17 April 2019 Mariem talk contribs uploaded File:SUS LIP6 CNRSnew.jpg
- 19:23, 29 March 2019 Mariem talk contribs uploaded File:Welcome2018.pdf
- 19:20, 29 March 2019 Mariem talk contribs uploaded File:2019-03-14-opening-FSiC.pdf
- 23:10, 28 March 2019 Mariem talk contribs uploaded File:OceaneFsic.pdf
- 15:35, 22 March 2019 Admin talk contribs moved page File:Creating Towards digital sovereignty by open source (hardware).pdf to File:Towards digital sovereignty by open source (hardware).pdf
- 14:53, 22 March 2019 Admin talk contribs uploaded File:Creating Towards digital sovereignty by open source (hardware).pdf
- 16:11, 19 March 2019 Ediloren talk contribs uploaded File:Open Source Parasitic Extraction 190315 FSiC.pdf
- 16:38, 18 March 2019 Tok talk contribs uploaded File:Transistor folding.svg (Transistor folding/fingering.)
- 15:37, 18 March 2019 Jpc talk contribs uploaded File:CoriolisDesignFlow.pdf
- 13:10, 18 March 2019 Tok talk contribs uploaded File:Dffposx1 setup time plot 1ps sim step.png (Propagation delay of a D flip flop as a function of the unconditional setup time (infinite hold time).)
- 13:09, 18 March 2019 Tok talk contribs uploaded File:Setup hold types comparision.json.svg (Comparison of independent and dependent setup/hold times.)
- 13:07, 18 March 2019 Tok talk contribs uploaded File:Dependent hold time measurement.json.svg (Dependent hold time measurement.)
- 13:05, 18 March 2019 Tok talk contribs uploaded File:Dependent setup time measurement.json.svg (Measuring dependent setup time.)
- 13:04, 18 March 2019 Tok talk contribs uploaded File:Setup time sweep.svg (Measuring unconditional setup time by sweeping the data edge.)
- 13:01, 18 March 2019 Tok talk contribs uploaded File:Measuring unconditional setup hold.json.svg (Measuring setup and hold constraints by sweeping the data edge relative to the clock edge.)
- 12:59, 18 March 2019 Tok talk contribs uploaded File:Xor2x1 wave example.svg (Input and output waveforms of a XOR2X1 cell (FreePDK45))
- 12:58, 18 March 2019 Tok talk contribs uploaded File:Freepdk xor2x1 fall delay sweep.png (Example of NDLM timing table.)
- 14:23, 16 March 2019 Torki talk contribs uploaded File:2019 FreeSilicon PDK on FreeCAD K TORKI.pdf
- 14:22, 16 March 2019 Torki talk contribs uploaded File:2019 FreeSilicon CMP AddOnServices.pdf
- 11:37, 16 March 2019 Matthias talk contribs uploaded File:Drctut2.png
- 11:28, 16 March 2019 Matthias talk contribs uploaded File:Drctut1.png
- 11:21, 16 March 2019 User account Abhay talk contribs was created
- 11:17, 16 March 2019 User account Tobias talk contribs was created
- 02:16, 16 March 2019 Matthias talk contribs uploaded File:Tutorial.pdf
- 10:41, 15 March 2019 Andradel talk contribs uploaded File:Fsic19 andrade simulation.pdf
- 09:42, 15 March 2019 Ggouvine talk contribs uploaded File:CoriolisEtesian.pdf (Slides of the Coriolis Etesian placement talk)
- 08:24, 15 March 2019 Felix talk contribs uploaded File:Felix fsic19.pdf (gnucap slides, handout)
- 06:21, 15 March 2019 Edmund talk contribs uploaded File:Free silicon.pdf
- 00:27, 15 March 2019 Matthias talk contribs uploaded File:DVP.pdf
- 00:22, 15 March 2019 Bhanushalikirti talk contribs uploaded File:Slides FreePDK Kirti Bhanushali March15 2019.pdf
- 23:51, 14 March 2019 Fatsie talk contribs uploaded File:20190315 FSiC PerfectEDAflow.pdf
- 23:28, 14 March 2019 User account Torki talk contribs was created
- 23:04, 14 March 2019 Mrg talk contribs uploaded a new version of File:OpenRAM FSiC2019.pdf
- 19:15, 14 March 2019 User account Fkermarrec talk contribs was created
- 17:00, 14 March 2019 User account Serfed talk contribs was created
- 16:15, 14 March 2019 Christoph.grimm talk contribs uploaded a new version of File:F-Si2019-Grimm.pdf (Added fake animations to pdf.)
- 15:04, 14 March 2019 Fpetrot talk contribs uploaded a new version of File:Fsic-petrot.pdf
- 14:39, 14 March 2019 Mrg talk contribs uploaded a new version of File:OpenRAM FSiC2019.pdf
- 13:32, 14 March 2019 Christoph.grimm talk contribs uploaded File:F-Si2019-Grimm.pdf
- 11:54, 14 March 2019 Charles.papon talk contribs uploaded File:Fsic2019 SpinalHDL.pdf
- 11:05, 14 March 2019 Coussy talk contribs uploaded a new version of File:Fsic-coussy.pdf
- 10:38, 14 March 2019 Coussy talk contribs uploaded File:Fsic-coussy.pdf
- 03:00, 14 March 2019 Aldavis talk contribs uploaded File:F-si-gnucap.pdf
- 18:19, 13 March 2019 Tok talk contribs deleted page File:Fsic2019 librecell thomas kramer.pdf (Deleted old revision 20190313161856!Fsic2019_librecell_thomas_kramer.pdf: Was not complete.)
- 18:18, 13 March 2019 Tok talk contribs uploaded a new version of File:Fsic2019 librecell thomas kramer.pdf (Re-arrange slides + some modifications.)
- 10:16, 13 March 2019 Fpetrot talk contribs uploaded File:Pl011.png
- 10:15, 13 March 2019 Fpetrot talk contribs uploaded File:Simplecpu.png
- 10:15, 13 March 2019 Fpetrot talk contribs uploaded File:CMakeLists.png
- 10:15, 13 March 2019 Fpetrot talk contribs uploaded File:Libs-2.png
- 10:14, 13 March 2019 Fpetrot talk contribs uploaded File:Libs.png
- 10:14, 13 March 2019 Fpetrot talk contribs uploaded File:Cpu-1.png
- 10:13, 13 March 2019 Fpetrot talk contribs uploaded File:Memory-error-1.png
- 10:12, 13 March 2019 Fpetrot talk contribs uploaded File:Memory-tree.png
- 10:10, 13 March 2019 Fpetrot talk contribs uploaded File:Memory-1.png
- 10:09, 13 March 2019 Fpetrot talk contribs uploaded File:Platform-1.png
- 09:52, 13 March 2019 Tgingold talk contribs uploaded File:CERN-OHLv2-slides.pdf
- 09:47, 13 March 2019 Tgingold talk contribs uploaded File:Ghdl-slides.pdf
- 17:50, 12 March 2019 Tok talk contribs uploaded File:Fsic2019 librecell thomas kramer.pdf
- 17:47, 12 March 2019 Admin talk contribs uploaded File:SU-LIP6-Plan.jpg
- 16:29, 12 March 2019 Fpetrot talk contribs uploaded File:Fsic-greensocs.pdf
- 14:22, 12 March 2019 User account Fatsie talk contribs was created
- 13:16, 12 March 2019 Tok talk contribs uploaded File:Cmos layout styles.svg
- 11:09, 12 March 2019 Fpetrot talk contribs uploaded File:Fsic-petrot.pdf
- 02:56, 12 March 2019 RTimothyEdwards talk contribs uploaded File:Qflow Raven FSiC2019.pdf
- 22:59, 11 March 2019 Mrg talk contribs moved page OpenRAM to OpenRAM: An Open-Source Memory Compiler
- 22:44, 11 March 2019 Mrg talk contribs uploaded File:OpenRAM FSiC2019.pdf
- 22:42, 11 March 2019 User account Matthias talk contribs was created
- 16:08, 11 March 2019 User account Christoph.grimm talk contribs was created
- 11:38, 11 March 2019 User account Daniela Genius talk contribs was created
- 10:56, 11 March 2019 User account Ggouvine talk contribs was created
- 19:50, 10 March 2019 User account Steffen.Reith talk contribs was created
- 01:52, 10 March 2019 User account Bhanushalikirti talk contribs was created
- 12:38, 6 March 2019 User account Test1 talk contribs was created
- 12:05, 6 March 2019 Admin talk contribs blocked MaxPowerMus talk contribs with an expiration time of 1 year (account creation disabled, email disabled, cannot edit own talk page)
- 12:04, 6 March 2019 Admin talk contribs blocked IngridBushby3 talk contribs with an expiration time of 1 year (account creation disabled, email disabled, cannot edit own talk page)
- 12:04, 6 March 2019 Admin talk contribs blocked ShawneeXph talk contribs with an expiration time of 1 year (account creation disabled, email disabled, cannot edit own talk page)
- 12:04, 6 March 2019 Admin talk contribs blocked ZeldaFavenc talk contribs with an expiration time of 1 year (account creation disabled, email disabled, cannot edit own talk page)
- 12:03, 6 March 2019 Admin talk contribs blocked RobertStoker308 talk contribs with an expiration time of 1 year (account creation disabled, email disabled, cannot edit own talk page)
- 12:02, 6 March 2019 Admin talk contribs blocked AndreasAsn talk contribs with an expiration time of 1 year (account creation disabled, email disabled, cannot edit own talk page)
- 12:02, 6 March 2019 Admin talk contribs blocked USTJamila23 talk contribs with an expiration time of 1 year (account creation disabled, email disabled, cannot edit own talk page)
- 12:01, 6 March 2019 Admin talk contribs blocked AnnmarieQ14 talk contribs with an expiration time of 1 year (account creation disabled, email disabled, cannot edit own talk page)
- 12:01, 6 March 2019 Admin talk contribs blocked LowellBrookins talk contribs with an expiration time of 1 year (account creation disabled, email disabled, cannot edit own talk page)
- 12:00, 6 March 2019 Admin talk contribs blocked IolaPayten966 talk contribs with an expiration time of 1 year (account creation disabled, email disabled, cannot edit own talk page)
- 12:00, 6 March 2019 Admin talk contribs blocked XNQJodi8888 talk contribs with an expiration time of 1 year (account creation disabled, email disabled, cannot edit own talk page)
- 11:53, 6 March 2019 Tok talk contribs deleted page User:ClementBinkley9 (Mass deletion of pages added by ClementBinkley9)
- 11:53, 6 March 2019 Tok talk contribs deleted page Computer Science Vs. Computer Engineering: What’s The Difference (Mass deletion of pages added by ClementBinkley9)
- 11:53, 6 March 2019 Tok talk contribs blocked ClementBinkley9 talk contribs with an expiration time of indefinite (account creation disabled) (Spamming links to external sites)
- 11:49, 6 March 2019 Tok talk contribs changed block settings for GilbertAntoine9 talk contribs with an expiration time of indefinite (account creation disabled)
- 11:49, 6 March 2019 Admin talk contribs blocked AnhLillico5 talk contribs with an expiration time of 1 year (account creation disabled, email disabled, cannot edit own talk page)
- 11:49, 6 March 2019 Admin talk contribs deleted page User:AnhLillico5 (Mass deletion of pages added by AnhLillico5)
- 11:49, 6 March 2019 Admin talk contribs deleted page Researcher Shows The Voice In Swallowing Disorders (Mass deletion of pages added by AnhLillico5)
- 11:48, 6 March 2019 Tok talk contribs blocked GilbertAntoine9 talk contribs with an expiration time of 1 week (account creation disabled)
- 11:47, 6 March 2019 Tok talk contribs deleted page User:GilbertAntoine9 (Mass deletion of pages added by GilbertAntoine9)
- 11:47, 6 March 2019 Tok talk contribs deleted page Search Engineering Jobs Online (Mass deletion of pages added by GilbertAntoine9)
- 11:47, 6 March 2019 Admin talk contribs blocked AracelyOlds talk contribs with an expiration time of indefinite (account creation disabled, email disabled, cannot edit own talk page)
- 11:46, 6 March 2019 Admin talk contribs deleted page User:AracelyOlds (Mass deletion of pages added by AracelyOlds)
- 11:46, 6 March 2019 Admin talk contribs deleted page Granite City Illinois On-Site Computer Pc Printer Repairs Networks Telecom Data Cabling Services (Mass deletion of pages added by AracelyOlds)
- 11:46, 6 March 2019 Admin talk contribs deleted page Field Engineer Job Description Responsibilities Jobs (Mass deletion of pages added by AracelyOlds)
- 11:46, 6 March 2019 Admin talk contribs deleted page JK Technosoft Hiring Software Trainee - Noida (Mass deletion of pages added by AracelyOlds)
- 11:46, 6 March 2019 Admin talk contribs deleted page Read A Clinical Nurse Specialist Job Description (Mass deletion of pages added by AracelyOlds)
- 11:46, 6 March 2019 Admin talk contribs deleted page How Telecom Billing Solutions Create Win-Win Situation For Purchasers And Firms (Mass deletion of pages added by AracelyOlds)
- 11:46, 6 March 2019 Admin talk contribs deleted page Eight Centerfire Rifle With Magnified Sights (Mass deletion of pages added by AracelyOlds)
- 11:46, 6 March 2019 Admin talk contribs deleted page Photogrammetry: A Brand New Trend In Site Surveying Technologies (Mass deletion of pages added by AracelyOlds)
- 11:46, 6 March 2019 Admin talk contribs deleted page Technical Network Administration Resume (Mass deletion of pages added by AracelyOlds)
- 11:46, 6 March 2019 Admin talk contribs deleted page 5 Things You Need To Learn About Dark Fiber (Mass deletion of pages added by AracelyOlds)
- 11:46, 6 March 2019 Admin talk contribs deleted page Description Salary Job Role More (Mass deletion of pages added by AracelyOlds)
- 11:45, 6 March 2019 Admin talk contribs blocked NatalieEltham99 talk contribs with an expiration time of indefinite (account creation disabled, email disabled, cannot edit own talk page)
- 11:44, 6 March 2019 Admin talk contribs deleted page User:NatalieEltham99 (Mass deletion of pages added by NatalieEltham99)
- 11:44, 6 March 2019 Admin talk contribs deleted page Telecom Engineer Job Description Salary Freelance Jobs (Mass deletion of pages added by NatalieEltham99)
- 11:44, 6 March 2019 Admin talk contribs deleted page The Database Administrator (Mass deletion of pages added by NatalieEltham99)
- 11:44, 6 March 2019 Admin talk contribs deleted page Welcome To Mat s Cloud (Mass deletion of pages added by NatalieEltham99)
- 11:44, 6 March 2019 Admin talk contribs deleted page Network Planning And Implementation - What You Need To Think About In Network Project Management (Mass deletion of pages added by NatalieEltham99)
- 11:44, 6 March 2019 Admin talk contribs deleted page What Is 5G (Mass deletion of pages added by NatalieEltham99)
- 11:44, 6 March 2019 Admin talk contribs deleted page Hospitality Leaders Arrive In Dubai For Middle East’s First HITEC (Mass deletion of pages added by NatalieEltham99)
- 11:44, 6 March 2019 Admin talk contribs deleted page WCF Service Performance Is It All About Calls Per Second (Mass deletion of pages added by NatalieEltham99)
- 11:44, 6 March 2019 Admin talk contribs deleted page It s Not Fun But It Must Be Done (Mass deletion of pages added by NatalieEltham99)
- 11:44, 6 March 2019 Admin talk contribs deleted page Desktop Support Engineer : Resume Making Tips Samples And Canopy Letters (Mass deletion of pages added by NatalieEltham99)
- 11:44, 6 March 2019 Admin talk contribs deleted page Make Lettuce Tea For Insomnia (Mass deletion of pages added by NatalieEltham99)
- 11:44, 6 March 2019 Admin talk contribs deleted page Best Market Place For Telecom Freelance Engineers Businesses (Mass deletion of pages added by NatalieEltham99)
- 10:10, 6 March 2019 User account GilbertAntoine9 talk contribs was created
- 10:02, 6 March 2019 User account ShawneeXph talk contribs was created
- 09:47, 6 March 2019 User account ZeldaFavenc talk contribs was created
- 09:41, 6 March 2019 User account RobertStoker308 talk contribs was created
- 09:37, 6 March 2019 User account AndreasAsn talk contribs was created
- 09:16, 6 March 2019 User account ClementBinkley9 talk contribs was created
- 09:12, 6 March 2019 User account USTJamila23 talk contribs was created
- 09:09, 6 March 2019 User account AnhLillico5 talk contribs was created
- 08:20, 6 March 2019 User account AnnmarieQ14 talk contribs was created
- 04:04, 6 March 2019 User account Edmund talk contribs was created
- 22:31, 5 March 2019 User account LowellBrookins talk contribs was created
- 22:09, 5 March 2019 User account NatalieEltham99 talk contribs was created
- 15:29, 5 March 2019 User account IolaPayten966 talk contribs was created
- 15:25, 5 March 2019 User account AracelyOlds talk contribs was created
- 14:15, 5 March 2019 User account XNQJodi8888 talk contribs was created
- 13:01, 5 March 2019 User account IngridBushby3 talk contribs was created
- 18:46, 4 March 2019 Tok talk contribs uploaded a new version of File:Stdcell generation flow.svg (Change image size.)
- 18:42, 4 March 2019 Tok talk contribs uploaded a new version of File:Stdcell generation flow.svg (Fit page to content.)
- 18:39, 4 March 2019 Tok talk contribs uploaded File:Stdcell generation flow.svg
- 18:18, 4 March 2019 Tok talk contribs uploaded File:Latch routed 3d.png
- 23:42, 3 March 2019 User account Andradel talk contribs was created
- 19:10, 3 March 2019 User account Gdelbergue talk contribs was created
- 18:45, 2 March 2019 User account Charles.papon talk contribs was created
- 21:44, 26 February 2019 User account Mrg talk contribs was created
- 17:36, 25 February 2019 User account Roselyne talk contribs was created
- 16:26, 15 February 2019 Admin talk contribs uploaded File:LIP6 SU CNRS logo.jpg
- 19:03, 13 February 2019 User account Coussy talk contribs was created
- 16:20, 13 February 2019 User account Felix talk contribs was created
- 12:19, 12 February 2019 User account Jbruant talk contribs was created
- 18:46, 11 February 2019 Mariem talk contribs uploaded File:Absynth fsic.pdf
- 21:21, 10 February 2019 User account Fpetrot talk contribs was created
- 18:34, 10 February 2019 User account RTimothyEdwards talk contribs was created
- 01:24, 10 February 2019 User account Aldavis talk contribs was created
- 13:19, 8 February 2019 User account Kgiering talk contribs was created
- 12:52, 8 February 2019 User account Tgingold talk contribs was created
- 17:02, 6 February 2019 Admin talk contribs uploaded File:Dummy slide.pdf
- 23:40, 30 January 2019 User account Ediloren talk contribs was created
- 22:31, 28 January 2019 Admin talk contribs uploaded File:Fsi logo small.svg
- 10:39, 28 January 2019 Admin talk contribs changed group membership for Luca from (none) to administrator and bureaucrat
- 10:39, 28 January 2019 Admin talk contribs changed group membership for Mariem from (none) to administrator and bureaucrat
- 10:39, 28 January 2019 Admin talk contribs changed group membership for Tok from (none) to administrator and bureaucrat
- 23:02, 25 January 2019 Admin talk contribs imported Module:Yesno/doc by file upload (1 revision)
- 22:10, 25 January 2019 Admin talk contribs imported Template:UF-hcal by file upload (1 revision)
- 22:10, 25 January 2019 Admin talk contribs imported Template:Tl2 by file upload (1 revision)
- 22:10, 25 January 2019 Admin talk contribs imported Template:Steady by file upload (1 revision)
- 22:09, 25 January 2019 Admin talk contribs imported Template:Param by file upload (1 revision)
- 22:09, 25 January 2019 Admin talk contribs imported Template:Ordered list by file upload (1 revision)
- 22:09, 25 January 2019 Admin talk contribs imported Template:Microformat message by file upload (1 revision)