A Yosys plugin for logic locking

From F-Si wiki
Jump to navigation Jump to search

When creating an electronic circuit, manufacturing steps are usually performed by third-party actors. In order to build confidence that the circuit built is not tempered with and is not used by third-parties, a variety of methods have been devised. A common approach, logic locking, is to introduce additional logic that requires the correct key to function as designed.

This mangling makes it more difficult to reverse-engineer the circuit, to add trojans, or simply to reuse without the correct key.

We present a Yosys plugin to add logic locking functionality to a circuit. During the talk, we will discuss the security metrics used, the possible attacks against logic locking, and the usage of the plugin we developped.

Downloads