Uncategorized pages

Jump to navigation Jump to search

Showing below up to 90 results in range #51 to #140.

View (previous 100 | next 100) (20 | 50 | 100 | 250 | 500)

  1. GHDL and the economy of EDA FOSS
  2. Gdsfactory
  3. GnuCap: Progress and Opportunities
  4. Gnu Circuit Analysis Package (GnuCap)
  5. Go2async: A high-level synthesis tool for asynchronous circuits
  6. Hands-on with KLayout: Design rule checks and layout to netlist tools
  7. High level Simulation
  8. High level system modelling, hands-on computer session
  9. Horizon 2021 Coordination and Support Action (CSA) proposal
  10. How many designs can you fit on a single die
  11. How to foster GreenIT through open hardware?
  12. Inclusive Modeling with SysMD
  13. Industry-Grade SystemVerilog IPs And The Open Flow: How We Synthesized Iguana
  14. Introduction to the GoIT project
  15. KLayout's deep verification base project
  16. KLayout XSection tool - Deep insights or nonsense in colors?
  17. KQCircuits – open-source EDA software for designing chips with super conducting qubits
  18. LIP6 Welcome
  19. Learning from GF180 PDK: Best practices for implementing and optimizing KLayout DRC and LVS decks
  20. Learning hardware design in the video game Minecraft
  21. Lesson learned from Retro-uC and the search for the ideal EDA flow for open source silicon
  22. LibrEDA
  23. LibrEDA - digital place-and-route framework from scratch
  24. LibreCell
  25. Libre Silicon Compiler
  26. LiteX: an open-source SoC builder and library based on Migen Python DSL
  27. Main Page
  28. Main Page/Software
  29. Matthias:UnsortedThroughsOnFOSSForEDA
  30. Merging Gnucap and Qucs -- The Why and How
  31. Mixed-signal system modelling and simulation
  32. Mixing software abstractions for high-level FPGA programming
  33. Model-Driven Performance Evaluation and Formal Verification for Multi-level Embedded System Design
  34. Naja: an open source framework for EDA post synthesis flow development
  35. Naja: project updates and netlist splitting tool
  36. Need for a free alternative to OpenAccess (by Matthias)
  37. Ngspice - an open source mixed signal circuit simulator
  38. Open-source electronic design automation for agile network defense at OVHcloud
  39. OpenEPDA: photonic PDKs with open standards
  40. OpenRAM: An Open-Source Memory Compiler
  41. OpenROAD
  42. OpenSource PDK - A key enabler to unlock the potential of an open source design flow
  43. Open (and Closed) Source Analog Design with Hdl21 & VLSIR
  44. Open Source Parasitic Extraction
  45. Open Source for Sustainable and Long lasting Phones
  46. Open Source in Healthcare, an hardware approach: the echOpen project case
  47. Open source Design Flow status and roadmap for IHP BiCMOS technology
  48. PDKMaster & co.: a framework for scalable and technology portable standard cell, IO and SRAM libraries
  49. Physical security for cryptographic implementations with open hardware
  50. Placement algorithms for standard cells in Coriolis
  51. Porting software to hardware using XLS and open source PDKs
  52. Powered by Open EDA: Applying Apple's Chip-to-Product Design Methods to Satellites
  53. Proof-of-concept for scalable analog blocks using the PDKMaster framework
  54. Recent Developments from YosysHQ
  55. Recommendations and roadmap for the development of open-source silicon in the EU
  56. Recommendations for the EC on how to reduce the environmental impact of the ICT sector
  57. Revolutionize your chip design with GDSFactory and Open Source PDKs
  58. Software-Defined Hardware: Digital Design in the 21st Century with Chisel
  59. Standard-cell characterization
  60. Standard-cell recognition
  61. Standard-cell synthesis
  62. Standard Cell Library report
  63. Statute of the Free Silicon Foundation (I) ETS
  64. Synthesis with ghdl
  65. SystemC AMS and upcoming free frameworks for the free design
  66. Teaching Chip Design with Open-Source Tools
  67. TestPageX
  68. The ACT EDA flow for asynchronous logic
  69. The Alliance/Coriolis design flow
  70. The Raven chip: First-time silicon success with qflow and efabless
  71. The development of the NSXLIB standard cell scalable library
  72. The importance of EU Academia in developing the chips of the future
  73. The open-source and low-cost echo-stethoscope project
  74. The road to fully open hardware mobile computing
  75. TinyTapeout - what happened and next steps
  76. Toward a collaborative environment for Open Hardware Design
  77. Toward multi-language open-source HDL simulation
  78. Towards digital sovereignty by open source (hardware)
  79. Tutorial and FAQ on physical verification, DRC+LVS
  80. VACASK: a Verilog-A Circuit Analysis Kernel
  81. Verilog-AMS in Gnucap
  82. Verilog-AMS in Gnucap (2024)
  83. Verilog-A Circuit Analysis Kernel (VACASK)
  84. Welcome from LIP6
  85. Welcome from the Free Silicon Foundation 2023
  86. White paper for the EC, January 2020
  87. Whom do you trust?: Validating process parameters for open-source tools
  88. Wiki/openic
  89. Wishbone: a free SoC bus family
  90. XSCHEM - circuit schematic editor for VLSI, ASIC, PCB design

View (previous 100 | next 100) (20 | 50 | 100 | 250 | 500)