Difference between revisions of "Software-Defined Hardware: Digital Design in the 21st Century with Chisel"

From F-Si wiki
Jump to navigation Jump to search
 
(4 intermediate revisions by 2 users not shown)
Line 1: Line 1:
* Speaker(s): Martin Schoeberl [mailto:masca@dtu.dk Martin Schoeberl]
* Speaker(s): Martin Schoeberl [mailto:masca@dtu.dk Martin Schoeberl]
* email: masca@dtu.dk
* email: masca@dtu.dk
* other information: xxx
* other information: http://www2.imm.dtu.dk/~masca/


==Downloads==
==Downloads==
* [[:File:FSiC2023_Open_Source_Chip_Design.pdf|Slides]] (to upload a file: go to Edit mode, then click on the fourth icon from the left "Embed file" and follow the instructions)
* [[:File:Chisel-30min.pdf|Slides]]
* [https://peertube.f-si.org/videos/watch/d649331f-2dd5-4e7b-8ad0-c42333725de9 Video recording]


==Abstract==
==Abstract==
To develop future more complex digital circuits in less time, we need a better hardware description language than VHDL or Verilog. Chisel\footnote{\url{https://www.chisel-lang.org/}} is a hardware construction language intended to speed up the development of digital hardware and hardware generators.
To develop future more complex digital circuits in less time, we need a better hardware description language than VHDL or Verilog. Chisel is a hardware construction language intended to speed up the development of digital hardware and hardware generators.


Chisel is a hardware construction language implemented as a domain-specific language in Scala.
Chisel is a hardware construction language implemented as a domain-specific language in Scala.

Latest revision as of 22:13, 28 July 2023

Downloads

Abstract

To develop future more complex digital circuits in less time, we need a better hardware description language than VHDL or Verilog. Chisel is a hardware construction language intended to speed up the development of digital hardware and hardware generators.

Chisel is a hardware construction language implemented as a domain-specific language in Scala. Therefore, the full power of a modern programming language is available to describe hardware and, more important, hardware generators. Chisel has been developed at UC Berkeley and successfully used for several tape-outs of RISC-V by UC Berkeley students and a chip for a tensor processing unit by Google. Here at the Technical University of Denmark, we use Chisel in the T-CREST project and teach digital electronics and advanced computer architecture.

In this presentation, I will give an overview of Chisel to describe circuits and present the advanced functionality of Chisel for the description of circuit generators.

Software

General information