Uncategorized pages

Jump to navigation Jump to search

Showing below up to 50 results in range #51 to #100.

View (previous 50 | next 50) (20 | 50 | 100 | 250 | 500)

  1. Gdsfactory
  2. GnuCap: Progress and Opportunities
  3. Gnu Circuit Analysis Package (GnuCap)
  4. Go2async: A high-level synthesis tool for asynchronous circuits
  5. Hands-on with KLayout: Design rule checks and layout to netlist tools
  6. High level Simulation
  7. High level system modelling, hands-on computer session
  8. Horizon 2021 Coordination and Support Action (CSA) proposal
  9. How many designs can you fit on a single die
  10. How to foster GreenIT through open hardware?
  11. Inclusive Modeling with SysMD
  12. Industry-Grade SystemVerilog IPs And The Open Flow: How We Synthesized Iguana
  13. Introduction to the GoIT project
  14. KLayout's deep verification base project
  15. KLayout XSection tool - Deep insights or nonsense in colors?
  16. KQCircuits – open-source EDA software for designing chips with super conducting qubits
  17. LIP6 Welcome
  18. Learning from GF180 PDK: Best practices for implementing and optimizing KLayout DRC and LVS decks
  19. Learning hardware design in the video game Minecraft
  20. Lesson learned from Retro-uC and the search for the ideal EDA flow for open source silicon
  21. LibrEDA
  22. LibrEDA - digital place-and-route framework from scratch
  23. LibreCell
  24. Libre Silicon Compiler
  25. LiteX: an open-source SoC builder and library based on Migen Python DSL
  26. Main Page
  27. Main Page/Software
  28. Matthias:UnsortedThroughsOnFOSSForEDA
  29. Merging Gnucap and Qucs -- The Why and How
  30. Mixed-signal system modelling and simulation
  31. Mixing software abstractions for high-level FPGA programming
  32. Model-Driven Performance Evaluation and Formal Verification for Multi-level Embedded System Design
  33. Naja: an open source framework for EDA post synthesis flow development
  34. Naja: project updates and netlist splitting tool
  35. Need for a free alternative to OpenAccess (by Matthias)
  36. Ngspice - an open source mixed signal circuit simulator
  37. Open-source electronic design automation for agile network defense at OVHcloud
  38. OpenEPDA: photonic PDKs with open standards
  39. OpenRAM: An Open-Source Memory Compiler
  40. OpenROAD
  41. OpenSource PDK - A key enabler to unlock the potential of an open source design flow
  42. Open (and Closed) Source Analog Design with Hdl21 & VLSIR
  43. Open Source Parasitic Extraction
  44. Open Source for Sustainable and Long lasting Phones
  45. Open Source in Healthcare, an hardware approach: the echOpen project case
  46. Open source Design Flow status and roadmap for IHP BiCMOS technology
  47. PDKMaster & co.: a framework for scalable and technology portable standard cell, IO and SRAM libraries
  48. Physical security for cryptographic implementations with open hardware
  49. Placement algorithms for standard cells in Coriolis
  50. Porting software to hardware using XLS and open source PDKs

View (previous 50 | next 50) (20 | 50 | 100 | 250 | 500)