Difference between revisions of "OpenRAM: An Open-Source Memory Compiler"

From F-Si wiki
Jump to navigation Jump to search
Line 23: Line 23:
==References==
==References==
<references />
<references />
# B. Wu, J. Stine, M. R. Guthaus, ``Fast and Area-Efficient Word-Line Driver Topology Optimization,'' International Symposium on Circuits \
# B. Wu, J. Stine, M. R. Guthaus, ``Fast and Area-Efficient Word-Line Driver Topology Optimization,'' International Symposium on Circuits and Systems (ISCAS), 2019
and Systems (ISCAS), 2019
# M. R. Guthaus, J. E. Stine, S. Ataei, B. Chen, B. Wu, M. Sarwar, "OpenRAM: An Open-Source Memory Compiler," Proceedings of the 35th International Conference on Computer-Aided Design (ICCAD), 2016
# M. R. Guthaus, J. E. Stine, S. Ataei, B. Chen, B. Wu, M. Sarwar, "OpenRAM: An Open-Source Memory Compiler," Proceedings of the 35th International Conference on Computer-Aided Design (ICCAD), 2016
# S. Ataei, J. Stine, M. Guthaus, “A 64 kb differential single-port 12T SRAM design with a bit-interleaving scheme for low-voltage operation in 32 nm SOI CMOS,” International Conference on Computer Design (ICCD), 2016, pp. 499-506.
# S. Ataei, J. Stine, M. Guthaus, “A 64 kb differential single-port 12T SRAM design with a bit-interleaving scheme for low-voltage operation in 32 nm SOI CMOS,” International Conference on Computer Design (ICCD), 2016, pp. 499-506.
# E. Ebrahimi, M. Guthaus, J. Renau, “Timing Speculative SRAM”, IEEE In- ternational Symposium on Circuits and Systems (ISCAS), 2017
# E. Ebrahimi, M. Guthaus, J. Renau, “Timing Speculative SRAM”, IEEE In- ternational Symposium on Circuits and Systems (ISCAS), 2017

Revision as of 21:56, 11 March 2019

Slides

OpenRAM FSiC2019 Draft Slides

Abstract

OpenRAM is an open-source Python framework to create the layout, netlists, timing and power models, placement and routing models, and other views necessary to use SRAMs in ASIC design. OpenRAM supports integration in both commercial and open-source flows with both predictive and fabricable technologies.

Software

General information

Roadmap

  • The project seeks help on: FinFET memories, Designer Feedback, Other types of memories (CAMs, RFs, etc.)

References

  1. B. Wu, J. Stine, M. R. Guthaus, ``Fast and Area-Efficient Word-Line Driver Topology Optimization, International Symposium on Circuits and Systems (ISCAS), 2019
  2. M. R. Guthaus, J. E. Stine, S. Ataei, B. Chen, B. Wu, M. Sarwar, "OpenRAM: An Open-Source Memory Compiler," Proceedings of the 35th International Conference on Computer-Aided Design (ICCAD), 2016
  3. S. Ataei, J. Stine, M. Guthaus, “A 64 kb differential single-port 12T SRAM design with a bit-interleaving scheme for low-voltage operation in 32 nm SOI CMOS,” International Conference on Computer Design (ICCD), 2016, pp. 499-506.
  4. E. Ebrahimi, M. Guthaus, J. Renau, “Timing Speculative SRAM”, IEEE In- ternational Symposium on Circuits and Systems (ISCAS), 2017