Difference between revisions of "GHDL and the economy of EDA FOSS"

From F-Si wiki
Jump to navigation Jump to search
(Created page with " * Speaker: Tristan Gingold * email: tgingold@free.fr ==Slides== Talk_title_name.pdf (to upload a file: go to Edit mode, then click on the fourth i...")
 
Line 8: Line 8:


==Abstract==
==Abstract==
Lorem Ipsum<ref>E. Miller, ''The history of Lorem Ipsum'', (New York: Academic Press, 2005), 23-5.</ref> is simply dummy text of the printing and typesetting industry. Lorem Ipsum has been the industry's standard dummy text ever since the 1500s, when an unknown printer took a galley of type and scrambled it to make a type specimen book. It has survived not only five centuries, but also the leap into electronic typesetting, remaining essentially unchanged. It was popularised in the 1960s with the release of Letraset sheets containing Lorem Ipsum passages, and more recently with desktop publishing software like Aldus PageMaker including versions of Lorem Ipsum.<ref>R. Smith, "Dummy text repositories", ''Scientific American'', 46 (April 1978): 44-6.</ref>
The talk will be split into 3 parts:
* A presentation of GHDL, an open-source VHDL simulator.  Main features, architecture, short history and purpose
* The future of GHDL. This conference is a good place to discuss about how a project can help another one. In particular which features are expected from other projects.
* My own experience about the economy of EDA FOSS. Why is it difficult to built a FOSS ecosystem? What kind of EDA FOSS do we want ?


==Software==
==Software==

Revision as of 19:58, 8 February 2019


  • Speaker: Tristan Gingold
  • email: tgingold@free.fr

Slides

Talk_title_name.pdf (to upload a file: go to Edit mode, then click on the fourth icon from the left "Embed file" and follow the instructions)

Abstract

The talk will be split into 3 parts:

  • A presentation of GHDL, an open-source VHDL simulator. Main features, architecture, short history and purpose
  • The future of GHDL. This conference is a good place to discuss about how a project can help another one. In particular which features are expected from other projects.
  • My own experience about the economy of EDA FOSS. Why is it difficult to built a FOSS ecosystem? What kind of EDA FOSS do we want ?

Software

General information

Roadmap

  • The software wishes to interface with the following tools: XXX, YYY
  • The project seeks help on: XXX, YYY

References